Simulation performance and signals

I don’t think that wait signal and triggerCondition make simulation significantly more slow compared to alternatives like polling. There might be other aspects that have a much higher performance impact.

If you want to study what components have the biggest impact on performance, I recommend testing the Profiler addon: Profiler