Simulation performance and signals

Hello,

I am working on a model which has many signals send among components. Hence robots have many “wait” command and components have many “triggerCondition()” in their python scripts.

When I start the simulation for a while (with 4.4x time), and I can see some lag in the simulation. My question is does this signal setup make the simulation slow?

Thank you!

I don’t think that wait signal and triggerCondition make simulation significantly more slow compared to alternatives like polling. There might be other aspects that have a much higher performance impact.

If you want to study what components have the biggest impact on performance, I recommend testing the Profiler addon: Profiler

Thank you! Your suggestion helped me find the source of problem.